Difference between revisions of "APF6 SP hirose pinout"

From ArmadeusWiki
Jump to: navigation, search
m (Pinout)
(Pins table)
 
(6 intermediate revisions by the same user not shown)
Line 9: Line 9:
 
== Pinout ==
 
== Pinout ==
 
Pin colored in red are plugged on apf6sp hirose connector:
 
Pin colored in red are plugged on apf6sp hirose connector:
 +
 
[[Image:apf6sp_hirose_c4_pinplanner.png|pinout du C4]]
 
[[Image:apf6sp_hirose_c4_pinplanner.png|pinout du C4]]
  
Pins are described bellow :
+
=== Pins table ===
  
 
{| border="1"
 
{| border="1"
 
|+
 
|+
| Hirose        || dir      || Ball      || Bank  || Bank_xx
+
| Hirose        || dir      || Ball      || Bank  || Bank_xx|| [http://bitec-dsp.com/product/hsmc-prototyping-daugther-card/ Bitec HSMC daughter board]
|-
+
|-                                                                    
| CLKIN0        || Input    || PIN_R10   || 3B    || B3B_N0
+
| CLKIN0        || Input    || PIN_T10   || 3B    || B3B_N0 ||
|-
+
|-                                                            
| CLKIN_1N      || Input    || PIN_R9    || 3B    || B3B_N0
+
| CLKIN_1N      || Input    || PIN_R9    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| CLKIN_1P      || Input    || PIN_P9    || 3B    || B3B_N0
+
| CLKIN_1P      || Input    || PIN_P9    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| CLKIN_2N      || Input    || PIN_R15    || 4A    || B4A_N0
+
| CLKIN_2N      || Input    || PIN_R15    || 4A    || B4A_N0 ||
|-
+
|-                                                            
| CLKIN_2P      || Input    || PIN_T15    || 4A    || B4A_N0
+
| CLKIN_2P      || Input    || PIN_T15    || 4A    || B4A_N0 ||
|-
+
|-                                                            
| CLKOUT0      || Output  || PIN_T10   || 3B    || B3B_N0
+
| CLKOUT0      || Output  || PIN_R10   || 3B    || B3B_N0 ||
|-
+
|-                                                            
| CLKOUT_1N    || Output  || PIN_G17    || 5B    || B5B_N0
+
| CLKOUT_1N    || Output  || PIN_G17    || 5B    || B5B_N0 ||
|-
+
|-                                                            
| CLKOUT_1P    || Output  || PIN_G18    || 5B    || B5B_N0
+
| CLKOUT_1P    || Output  || PIN_G18    || 5B    || B5B_N0 ||
|-
+
|-                                                            
| CLKOUT_2N    || Output  || PIN_AB22  || 4A    || B4A_N0
+
| CLKOUT_2N    || Output  || PIN_AB22  || 4A    || B4A_N0 || D79
|-
+
|-                                                            
| CLKOUT_2P    || Output  || PIN_AA22  || 4A    || B4A_N0
+
| CLKOUT_2P    || Output  || PIN_AA22  || 4A    || B4A_N0 || D77
|-
+
|-                                                            
| D0            || Output  || PIN_M10    || 3B    || B3B_N0
+
| D0            || Output  || PIN_M10    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| D1            || Input    || PIN_K15    || 5B    || B5B_N0
+
| D1            || Input    || PIN_K15    || 5B    || B5B_N0 ||
|-
+
|-                                                            
| D2            || Output  || PIN_L9    || 3B    || B3B_N0
+
| D2            || Output  || PIN_L9    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| D3            || Input    || PIN_L15    || 5B    || B5B_N0
+
| D3            || Input    || PIN_L15    || 5B    || B5B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N0    || Input    || PIN_AA9    || 3B    || B3B_N0
+
| LVDS_RX_N0    || Input    || PIN_AA9    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N1   || Input    || PIN_U10    || 3B    || B3B_N0
+
| LVDS_RX_P0   || Input    || PIN_Y9    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N2   || Input    || PIN_U8    || 3B    || B3B_N0
+
| LVDS_RX_N1   || Input    || PIN_U10    || 3B    || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N3   || Input    || PIN_U12   || 4A   || B4A_N0
+
| LVDS_RX_P1   || Input    || PIN_U11   || 3B   || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N4   || Input    || PIN_W13    || 4A   || B4A_N0
+
| LVDS_RX_N2   || Input    || PIN_U8    || 3B   || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N5   || Input    || PIN_W12    || 4A   || B4A_N0
+
| LVDS_RX_P2   || Input    || PIN_V9    || 3B   || B3B_N0 ||
|-
+
|-                                                            
| LVDS_RX_N6   || Input    || PIN_Y14   || 4A    || B4A_N0
+
| LVDS_RX_N3   || Input    || PIN_U12   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N7   || Input    || PIN_U16   || 4A    || B4A_N0
+
| LVDS_RX_P3   || Input    || PIN_T12   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N8   || Input    || PIN_Y16   || 4A    || B4A_N0
+
| LVDS_RX_N4   || Input    || PIN_W13   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N9   || Input    || PIN_W16   || 4A    || B4A_N0
+
| LVDS_RX_P4   || Input    || PIN_V13   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N10  || Input    || PIN_V18   || 4A    || B4A_N0
+
| LVDS_RX_N5    || Input    || PIN_W12   || 4A    || B4A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_N11  || Input    || PIN_V19    || 5A    || B5A_N0
+
| LVDS_RX_P5   || Input    || PIN_Y12   || 4A   || B4A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_N12  || Input   || PIN_T18    || 5A    || B5A_N0
+
| LVDS_RX_N6   || Input    || PIN_Y14   || 4A   || B4A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_N13  || Input    || PIN_J18   || 5B   || B5B_N0
+
| LVDS_RX_P6   || Input    || PIN_W14   || 4A   || B4A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_N14  || Input    || PIN_K19    || 5B    || B5B_N0
+
| LVDS_RX_N7   || Input    || PIN_U16   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N15  || Input   || PIN_L20    || 5B    || B5B_N0
+
| LVDS_RX_P7   || Input    || PIN_U17   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_N16  || Input    || PIN_P19   || 5A   || B5A_N0
+
| LVDS_RX_N8   || Input    || PIN_Y16   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_P0    || Input    || PIN_Y9    || 3B    || B3B_N0
+
| LVDS_RX_P8   || Input    || PIN_Y17   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_P1   || Input    || PIN_U11   || 3B   || B3B_N0
+
| LVDS_RX_N9   || Input    || PIN_W16   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_P2    || Input    || PIN_V9    || 3B    || B3B_N0
+
| LVDS_RX_P9   || Input    || PIN_W17   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_P3   || Input    || PIN_T12   || 4A    || B4A_N0
+
| LVDS_RX_N10  || Input    || PIN_V18   || 4A    || B4A_N0 ||
|-
+
|-                                                            
| LVDS_RX_P4   || Input    || PIN_V13   || 4A    || B4A_N0
+
| LVDS_RX_P10  || Input    || PIN_W18    || 4A    || B4A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P5   || Input    || PIN_Y12   || 4A    || B4A_N0
+
| LVDS_RX_N11   || Input    || PIN_V19   || 5A    || B5A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P6   || Input    || PIN_W14   || 4A    || B4A_N0
+
| LVDS_RX_P11   || Input    || PIN_V20   || 5A   || B5A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P7   || Input    || PIN_U17   || 4A    || B4A_N0
+
| LVDS_RX_N12   || Input    || PIN_T18   || 5A    || B5A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P8   || Input    || PIN_Y17   || 4A    || B4A_N0
+
| LVDS_RX_P12   || Input   || PIN_T17   || 5A   || B5A_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P9    || Input    || PIN_W17   || 4A    || B4A_N0
+
| LVDS_RX_N13   || Input   || PIN_J18   || 5B   || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P10  || Input    || PIN_W18    || 4A    || B4A_N0
+
| LVDS_RX_P13   || Input   || PIN_J17   || 5B   || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P11  || Input    || PIN_V20    || 5A    || B5A_N0
+
| LVDS_RX_N14   || Input   || PIN_K19   || 5B   || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P12   || Input    || PIN_T17   || 5A    || B5A_N0
+
| LVDS_RX_P14   || Input   || PIN_L18   || 5B   || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P13  || Input    || PIN_J17    || 5B    || B5B_N0
+
| LVDS_RX_N15   || Input   || PIN_L20   || 5B    || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P14   || Input    || PIN_L18   || 5B   || B5B_N0
+
| LVDS_RX_P15   || Input   || PIN_L19   || 5B   || B5B_N0 ||  
|-
+
|-                                                            
| LVDS_RX_P15  || Input    || PIN_L19    || 5B    || B5B_N0
+
| LVDS_RX_N16   || Input   || PIN_P19   || 5A    || B5A_N0 || D75
|-
+
|-                                                            
| LVDS_RX_P16   || Input    || PIN_R19   || 5A    || B5A_N0
+
| LVDS_RX_P16   || Input   || PIN_R19   || 5A    || B5A_N0 || D73
|-
+
|-                                                            
| LVDS_TX_N0    || Output  || PIN_W11    || 3B    || B3B_N0
+
|-
+
| LVDS_TX_N1    || Output   || PIN_R12   || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N2   || Output  || PIN_AA13  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_N3    || Output   || PIN_AB10  || 3B   || B3B_N0
+
|-
+
| LVDS_TX_N4   || Output  || PIN_Y10   || 3B    || B3B_N0
+
|-
+
| LVDS_TX_N5    || Output   || PIN_AA15  || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N6   || Output  || PIN_AB15  || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N7    || Output  || PIN_R11    || 3B    || B3B_N0
+
|-
+
| LVDS_TX_N8    || Output   || PIN_AA17  || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N9   || Output  || PIN_AB18  || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N10  || Output  || PIN_AA19  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_N11   || Output  || PIN_R21   || 5A   || B5A_N0
+
|-
+
| LVDS_TX_N12  || Output  || PIN_AB20  || 4A   || B4A_N0
+
|-
+
| LVDS_TX_N13  || Output  || PIN_AA20  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_N14   || Output  || PIN_U21   || 5A    || B5A_N0
+
|-
+
| LVDS_TX_N15  || Output  || PIN_R22    || 5A    || B5A_N0
+
|-
+
| LVDS_TX_N16  || Output  || PIN_G21   || 5B    || B5B_N0
+
|-
+
| LVDS_TX_P0    || Output  || PIN_Y11    || 3B    || B3B_N0
+
|-
+
| LVDS_TX_P1    || Output   || PIN_T13   || 4A   || B4A_N0
+
|-
+
| LVDS_TX_P2   || Output  || PIN_AB13  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P3    || Output   || PIN_AB11  || 3B   || B3B_N0
+
|-
+
| LVDS_TX_P4    || Output  || PIN_AA10  || 3B    || B3B_N0
+
|-
+
| LVDS_TX_P5    || Output  || PIN_Y15    || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P6    || Output  || PIN_AB16  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P7    || Output  || PIN_P12    || 3B    || B3B_N0
+
|-
+
| LVDS_TX_P8    || Output  || PIN_AB17  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P9    || Output  || PIN_AA18  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P10  || Output  || PIN_Y19    || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P11  || Output  || PIN_R20   || 5A    || B5A_N0
+
|-
+
| LVDS_TX_P12  || Output  || PIN_AB21  || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P13   || Output  || PIN_Y20   || 4A    || B4A_N0
+
|-
+
| LVDS_TX_P14  || Output  || PIN_U22   || 5A    || B5A_N0
+
|-
+
| LVDS_TX_P15  || Output  || PIN_T22    || 5A    || B5A_N0
+
|-
+
| LVDS_TX_P16  || Output  || PIN_G22    || 5B    || B5B_N0
+
  
 +
| LVDS_TX_N0    || Output  || PIN_W11    || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P0    || Output  || PIN_Y11    || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N1    || Output  || PIN_R12    || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P1    || Output  || PIN_T13    || 4A    || B4A_N0 || D9
 +
|-                                                             
 +
| LVDS_TX_N2    || Output  || PIN_AA13  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P2    || Output  || PIN_AB13  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N3    || Output  || PIN_AB10  || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P3    || Output  || PIN_AB11  || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N4    || Output  || PIN_Y10    || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P4    || Output  || PIN_AA10  || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N5    || Output  || PIN_AA15  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P5    || Output  || PIN_Y15    || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N6    || Output  || PIN_AB15  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P6    || Output  || PIN_AB16  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N7    || Output  || PIN_R11    || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P7    || Output  || PIN_P12    || 3B    || B3B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N8    || Output  || PIN_AA17  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P8    || Output  || PIN_AB17  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N9    || Output  || PIN_AB18  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P9    || Output  || PIN_AA18  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N10  || Output  || PIN_AA19  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P10  || Output  || PIN_Y19    || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N11  || Output  || PIN_R21    || 5A    || B5A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P11  || Output  || PIN_R20    || 5A    || B5A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N12  || Output  || PIN_AB20  || 4A    || B4A_N0 || 
 +
|-                                                             
 +
| LVDS_TX_P12  || Output  || PIN_AB21  || 4A    || B4A_N0 ||
 +
|-                                                             
 +
| LVDS_TX_N13  || Output  || PIN_AA20  || 4A    || B4A_N0 || D63
 +
|-                                                             
 +
| LVDS_TX_P13  || Output  || PIN_Y20    || 4A    || B4A_N0 || D61
 +
|-                                                             
 +
| LVDS_TX_N14  || Output  || PIN_U21    || 5A    || B5A_N0 || D67
 +
|-                                                             
 +
| LVDS_TX_P14  || Output  || PIN_U22    || 5A    || B5A_N0 || D65
 +
|-                                                             
 +
| LVDS_TX_N15  || Output  || PIN_R22    || 5A    || B5A_N0 || D71
 +
|-                                                             
 +
| LVDS_TX_P15  || Output  || PIN_T22    || 5A    || B5A_N0 || D69
 +
|-                                                             
 +
| LVDS_TX_N16  || Output  || PIN_G21    || 5B    || B5B_N0 ||
 +
|-                                                             
 +
| LVDS_TX_P16  || Output  || PIN_G22    || 5B    || B5B_N0 ||
 
|}
 
|}
 +
 +
TODO: add transceiver -> XCVR_x
  
 
== Links ==
 
== Links ==

Latest revision as of 09:14, 10 May 2016


Introduction

This page show pin that are availables on apf6_sp hirose connector.

Pinout

Pin colored in red are plugged on apf6sp hirose connector:

pinout du C4

Pins table

Hirose dir Ball Bank Bank_xx Bitec HSMC daughter board
CLKIN0 Input PIN_T10 3B B3B_N0
CLKIN_1N Input PIN_R9 3B B3B_N0
CLKIN_1P Input PIN_P9 3B B3B_N0
CLKIN_2N Input PIN_R15 4A B4A_N0
CLKIN_2P Input PIN_T15 4A B4A_N0
CLKOUT0 Output PIN_R10 3B B3B_N0
CLKOUT_1N Output PIN_G17 5B B5B_N0
CLKOUT_1P Output PIN_G18 5B B5B_N0
CLKOUT_2N Output PIN_AB22 4A B4A_N0 D79
CLKOUT_2P Output PIN_AA22 4A B4A_N0 D77
D0 Output PIN_M10 3B B3B_N0
D1 Input PIN_K15 5B B5B_N0
D2 Output PIN_L9 3B B3B_N0
D3 Input PIN_L15 5B B5B_N0
LVDS_RX_N0 Input PIN_AA9 3B B3B_N0
LVDS_RX_P0 Input PIN_Y9 3B B3B_N0
LVDS_RX_N1 Input PIN_U10 3B B3B_N0
LVDS_RX_P1 Input PIN_U11 3B B3B_N0
LVDS_RX_N2 Input PIN_U8 3B B3B_N0
LVDS_RX_P2 Input PIN_V9 3B B3B_N0
LVDS_RX_N3 Input PIN_U12 4A B4A_N0
LVDS_RX_P3 Input PIN_T12 4A B4A_N0
LVDS_RX_N4 Input PIN_W13 4A B4A_N0
LVDS_RX_P4 Input PIN_V13 4A B4A_N0
LVDS_RX_N5 Input PIN_W12 4A B4A_N0
LVDS_RX_P5 Input PIN_Y12 4A B4A_N0
LVDS_RX_N6 Input PIN_Y14 4A B4A_N0
LVDS_RX_P6 Input PIN_W14 4A B4A_N0
LVDS_RX_N7 Input PIN_U16 4A B4A_N0
LVDS_RX_P7 Input PIN_U17 4A B4A_N0
LVDS_RX_N8 Input PIN_Y16 4A B4A_N0
LVDS_RX_P8 Input PIN_Y17 4A B4A_N0
LVDS_RX_N9 Input PIN_W16 4A B4A_N0
LVDS_RX_P9 Input PIN_W17 4A B4A_N0
LVDS_RX_N10 Input PIN_V18 4A B4A_N0
LVDS_RX_P10 Input PIN_W18 4A B4A_N0
LVDS_RX_N11 Input PIN_V19 5A B5A_N0
LVDS_RX_P11 Input PIN_V20 5A B5A_N0
LVDS_RX_N12 Input PIN_T18 5A B5A_N0
LVDS_RX_P12 Input PIN_T17 5A B5A_N0
LVDS_RX_N13 Input PIN_J18 5B B5B_N0
LVDS_RX_P13 Input PIN_J17 5B B5B_N0
LVDS_RX_N14 Input PIN_K19 5B B5B_N0
LVDS_RX_P14 Input PIN_L18 5B B5B_N0
LVDS_RX_N15 Input PIN_L20 5B B5B_N0
LVDS_RX_P15 Input PIN_L19 5B B5B_N0
LVDS_RX_N16 Input PIN_P19 5A B5A_N0 D75
LVDS_RX_P16 Input PIN_R19 5A B5A_N0 D73
LVDS_TX_N0 Output PIN_W11 3B B3B_N0
LVDS_TX_P0 Output PIN_Y11 3B B3B_N0
LVDS_TX_N1 Output PIN_R12 4A B4A_N0
LVDS_TX_P1 Output PIN_T13 4A B4A_N0 D9
LVDS_TX_N2 Output PIN_AA13 4A B4A_N0
LVDS_TX_P2 Output PIN_AB13 4A B4A_N0
LVDS_TX_N3 Output PIN_AB10 3B B3B_N0
LVDS_TX_P3 Output PIN_AB11 3B B3B_N0
LVDS_TX_N4 Output PIN_Y10 3B B3B_N0
LVDS_TX_P4 Output PIN_AA10 3B B3B_N0
LVDS_TX_N5 Output PIN_AA15 4A B4A_N0
LVDS_TX_P5 Output PIN_Y15 4A B4A_N0
LVDS_TX_N6 Output PIN_AB15 4A B4A_N0
LVDS_TX_P6 Output PIN_AB16 4A B4A_N0
LVDS_TX_N7 Output PIN_R11 3B B3B_N0
LVDS_TX_P7 Output PIN_P12 3B B3B_N0
LVDS_TX_N8 Output PIN_AA17 4A B4A_N0
LVDS_TX_P8 Output PIN_AB17 4A B4A_N0
LVDS_TX_N9 Output PIN_AB18 4A B4A_N0
LVDS_TX_P9 Output PIN_AA18 4A B4A_N0
LVDS_TX_N10 Output PIN_AA19 4A B4A_N0
LVDS_TX_P10 Output PIN_Y19 4A B4A_N0
LVDS_TX_N11 Output PIN_R21 5A B5A_N0
LVDS_TX_P11 Output PIN_R20 5A B5A_N0
LVDS_TX_N12 Output PIN_AB20 4A B4A_N0
LVDS_TX_P12 Output PIN_AB21 4A B4A_N0
LVDS_TX_N13 Output PIN_AA20 4A B4A_N0 D63
LVDS_TX_P13 Output PIN_Y20 4A B4A_N0 D61
LVDS_TX_N14 Output PIN_U21 5A B5A_N0 D67
LVDS_TX_P14 Output PIN_U22 5A B5A_N0 D65
LVDS_TX_N15 Output PIN_R22 5A B5A_N0 D71
LVDS_TX_P15 Output PIN_T22 5A B5A_N0 D69
LVDS_TX_N16 Output PIN_G21 5B B5B_N0
LVDS_TX_P16 Output PIN_G22 5B B5B_N0

TODO: add transceiver -> XCVR_x

Links