Difference between revisions of "User:FabienM"

From ArmadeusWiki
Jump to: navigation, search
(Logging with timestamps in seconds)
(Présentation)
Line 3: Line 3:
 
= ''' Présentation ''' =
 
= ''' Présentation ''' =
  
Fabien Marteau, Électronicien/Informaticien.
+
come back soon.
 
+
J'ai toujours fait de l'électronique/informatique, depuis les magnétoscopes et réveils que j'ai démonté en passant par la filière SI du Bac S ainsi que le DUT GEII et l'[http://www.enseirb.fr/ ENSEIRB]. J'aime bidouiller des petits circuits électroniques avec des applications plus ou moins utiles comme ma [http://fabienmarteau.free.fr/?page=stationmeteo/stationmeteoindex station météo].
+
 
+
En tant que membre d'[http://eirbot.enseirb.fr  Eirbot] je suis très intéressé par les applications en robotiques réalisable avec armadeus. Je suis aussi particulièrement intéressé par les applications en domotique avec la gestion de capteurs ainsi que la gestion de l'énergie.
+
.
+
 
+
 
+
== Compétences : ==
+
* réalisation de cartes electroniques numériques ([http://www.cadsoft.de/ Eagles] et [http://www.lis.inpg.fr/realise_au_lis/kicad/ Kicad])
+
 
+
* programmation en C / assembleur 68000
+
 
+
* Conception et simulation VHDL
+
 
+
* microcontrolleurs Atmega, 68HC11, PIC
+
 
+
== Objectifs : ==
+
* Faire de l'embarqué sur des platforme autre que x86 avec les vrais contraintes de l'embarqué
+
 
+
* me former à Linux embarqué et aux fpga
+
  
 
= Mes petites bidouilles avec l'armadeus =
 
= Mes petites bidouilles avec l'armadeus =

Revision as of 13:48, 14 May 2010

<< liste des membres

Présentation

come back soon.

Mes petites bidouilles avec l'armadeus

Peripheral On Demand

Participation à la documentation ArmadeuS Project


Projets

Liens utiles

Somes usefull tricks

press a button

Here, function to «press enter to continue» on apf in different languages :

setbit /proc/driver/gpio/portFmode 13 1 # set pin as gpio
setbit /proc/driver/gpio/portFdir 13 0  # set pin as input
setbit /proc/driver/gpio/portFirq 13 1  # irq on rising edge

/usr/bin/testsuite/testbutton /dev/gpio/PF13 3

With testbutton program comming from target/linux/modules/fpga/wishbone_example/wb_button. Type «make» in this directory to compile it.

Logging with timestamps in seconds

#!/bin/sh
while true
do
LIGHT=$(cat /sys/class/hwmon/hwmon0/device/in3_input)
TIME=$(date +%s)
echo $TIME", sec, "$LIGHT", mV," >> log;
sleep 2
done

Press enter to continue

C

#include <stdio.h>

void pressEnterToContinue(void)
{
    printf("Press enter to continue\n");
    getc(stdin);//XXX 
    while( getc(stdin) != '\n') ; 
}

C++

#include <stdio.h> //XXX
#include <iostream>

void pressEnterToContinue(void)
{
    cout << "Press enter to continue" << endl;
    getc(stdin); //XXX
    std::cin.ignore( std::numeric_limits <std::streamsize> ::max(), '\n' );
}

Python

def pressEnterToContinue():
    print "Press enter to continue"
    raw_input()

bac à sable

Indus.png

Fichier:plop.tar.bz2