Difference between revisions of "FuseSoC"

From ArmadeusWiki
Jump to: navigation, search
(Blinky)
(Install fusesoc)
Line 30: Line 30:
 
* Initialize library:
 
* Initialize library:
 
<pre class="host">
 
<pre class="host">
 +
$ cd workspace
 
$ fusesoc init
 
$ fusesoc init
 
</pre>
 
</pre>

Revision as of 14:24, 22 July 2020


Page under construction... Construction.png Informations on this page are not guaranteed !!


Introduction

FuseSoC is a package manager written in python and used to assemble different HDL design from libraries together. FuseSoC is like Buildroot or Yocto used for Linux, it's just a «Makefile» that launch different tools to build the system.

Install fusesoc

  • Fusesoc is a python3 package that can be installed with pip :
$ python3 -m pip install fusesoc
  • To be up to date use --upgrade option:
$ python3 -m pip install --upgrade fusesoc
  • Once installed a command named fusesoc is available on system :
$ fusesoc --version
1.11.0
  • Initialize library:
$ cd workspace
$ fusesoc init

Blinky

Blinky is a project that aim do blink all FPGA board with a gateware constructed from fusesoc tool.

Here the way to blink Armadeus board with blinky.

First, git clone the blinky project:

$ fusesoc library add --sync-type git blinky https://github.com/fusesoc/blinky
  • APF27:


  • OPOS6UL_SP:
TODO

Ressources